Skip to content

Commit 9a2df31

Browse files
committed
docs: added a diagram about Openflow
1 parent 5aecfde commit 9a2df31

File tree

4 files changed

+271
-0
lines changed

4 files changed

+271
-0
lines changed

docs/images/Makefile

Lines changed: 15 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,15 @@
1+
#!/usr/bin/make
2+
3+
FILES = $(wildcard *.dot)
4+
FILES := $(basename $(FILES))
5+
FILES := $(addsuffix .svg,$(FILES))
6+
7+
ODIR = .
8+
9+
vpath %.svg $(ODIR)
10+
11+
%.svg: %.dot
12+
@mkdir -p $(ODIR)
13+
dot -Tsvg $< -o $(ODIR)/$@
14+
15+
all: $(FILES)

docs/images/openflow.dot

Lines changed: 28 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,28 @@
1+
digraph openflow {
2+
graph [ranksep=0.25];
3+
node [shape = doublecircle];
4+
node [shape = rectangle];
5+
GHDL "ghdl-yosys-plugin" Yosys "nextpnr-ice40" "nextpnr-ecp5" icetime icepack iceprog eccpack;
6+
node [shape = note ];
7+
VHDL Verilog;
8+
node [shape = box3d ];
9+
ice40;
10+
node [shape = oval];
11+
"bit-ice40" [label=".bit"];
12+
"bit-ecp5" [label=".bit"];
13+
VHDL -> {GHDL "ghdl-yosys-plugin"};
14+
GHDL -> "ghdl-yosys-plugin";
15+
"ghdl-yosys-plugin" -> Yosys;
16+
Verilog -> Yosys;
17+
Yosys -> ".json";
18+
".json" -> {"nextpnr-ice40" "nextpnr-ecp5"};
19+
"nextpnr-ice40" -> ".asc";
20+
"nextpnr-ecp5" -> ".config";
21+
".asc" -> {icetime icepack};
22+
icepack -> "bit-ice40";
23+
"bit-ice40" -> iceprog;
24+
iceprog -> ice40;
25+
".config" -> eccpack;
26+
eccpack -> "bit-ecp5";
27+
{rank = same; GHDL ; "ghdl-yosys-plugin"; Yosys;}
28+
}

docs/images/openflow.svg

Lines changed: 224 additions & 0 deletions
Loading

docs/tools.rst

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -94,6 +94,10 @@ Openflow
9494

9595
Openflow is the combination of different Free/Libre and Open Source (FLOSS) tools:
9696

97+
.. image:: images/openflow.svg
98+
:width: 70%
99+
:align: center
100+
97101
* Yosys for synthesis, with ghdl-yosys-plugin for VHDL support.
98102
* nextpnr in its ice40 and ecp5 versions.
99103
* Projects icestorm and Trellis.

0 commit comments

Comments
 (0)