File tree Expand file tree Collapse file tree 4 files changed +271
-0
lines changed Expand file tree Collapse file tree 4 files changed +271
-0
lines changed Original file line number Diff line number Diff line change
1
+ # !/usr/bin/make
2
+
3
+ FILES = $(wildcard * .dot)
4
+ FILES := $(basename $(FILES ) )
5
+ FILES := $(addsuffix .svg,$(FILES ) )
6
+
7
+ ODIR = .
8
+
9
+ vpath % .svg $(ODIR )
10
+
11
+ % .svg : % .dot
12
+ @mkdir -p $(ODIR )
13
+ dot -Tsvg $< -o $(ODIR ) /$@
14
+
15
+ all : $(FILES )
Original file line number Diff line number Diff line change
1
+ digraph openflow {
2
+ graph [ranksep =0.25];
3
+ node [shape = doublecircle ];
4
+ node [shape = rectangle ];
5
+ GHDL " ghdl-yosys-plugin" Yosys " nextpnr-ice40" " nextpnr-ecp5" icetime icepack iceprog eccpack;
6
+ node [shape = note ];
7
+ VHDL Verilog;
8
+ node [shape = box3d ];
9
+ ice40;
10
+ node [shape = oval];
11
+ " bit-ice40" [label =" .bit" ];
12
+ " bit-ecp5" [label =" .bit" ];
13
+ VHDL -> {GHDL " ghdl-yosys-plugin" };
14
+ GHDL -> " ghdl-yosys-plugin" ;
15
+ " ghdl-yosys-plugin" -> Yosys;
16
+ Verilog -> Yosys;
17
+ Yosys -> " .json" ;
18
+ " .json" -> {" nextpnr-ice40" " nextpnr-ecp5" };
19
+ " nextpnr-ice40" -> " .asc" ;
20
+ " nextpnr-ecp5" -> " .config" ;
21
+ " .asc" -> {icetime icepack};
22
+ icepack -> " bit-ice40" ;
23
+ " bit-ice40" -> iceprog;
24
+ iceprog -> ice40;
25
+ " .config" -> eccpack;
26
+ eccpack -> " bit-ecp5" ;
27
+ {rank = same ; GHDL ; " ghdl-yosys-plugin" ; Yosys;}
28
+ }
Original file line number Diff line number Diff line change @@ -94,6 +94,10 @@ Openflow
94
94
95
95
Openflow is the combination of different Free/Libre and Open Source (FLOSS) tools:
96
96
97
+ .. image :: images/openflow.svg
98
+ :width: 70%
99
+ :align: center
100
+
97
101
* Yosys for synthesis, with ghdl-yosys-plugin for VHDL support.
98
102
* nextpnr in its ice40 and ecp5 versions.
99
103
* Projects icestorm and Trellis.
You can’t perform that action at this time.
0 commit comments