Skip to content

Commit 50df180

Browse files
committed
Updated license to 2025.
1 parent 95f5959 commit 50df180

File tree

504 files changed

+508
-508
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

504 files changed

+508
-508
lines changed

docs/blog/src/vhdl_configuration/dff.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66
library ieee;
77
use ieee.std_logic_1164.all;
88

docs/blog/src/vhdl_configuration/run.py

+1-1
Original file line numberDiff line numberDiff line change
@@ -4,7 +4,7 @@
44
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
55
# You can obtain one at http://mozilla.org/MPL/2.0/.
66
#
7-
# Copyright (c) 2014-2024, Lars Asplund [email protected]
7+
# Copyright (c) 2014-2025, Lars Asplund [email protected]
88

99
import sys
1010
import itertools

docs/blog/src/vhdl_configuration/tb_selecting_dut_with_generics.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66
--
77
-- Description: This is an example of a testbench using a generic instead
88
-- of VHDL configurations to select the DUT to run. Without VHDL configurations

docs/blog/src/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66
--
77
-- Description: This is an example of a testbench using VHDL configurations
88
-- to select DUT architecture

docs/blog/src/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66
--
77
-- Description: This is an example of a testbench using separate architectures
88
-- of a test runner entity to define different tests. This is a structure

docs/blog/src/vhdl_configuration/test_reset.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/blog/src/vhdl_configuration/test_runner.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library ieee;
88
use ieee.std_logic_1164.all;

docs/blog/src/vhdl_configuration/test_state_change.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/blog/src/vunit_phases/phases_pkg.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/blog/src/vunit_phases/run.py

+1-1
Original file line numberDiff line numberDiff line change
@@ -4,7 +4,7 @@
44
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
55
# You can obtain one at http://mozilla.org/MPL/2.0/.
66
#
7-
# Copyright (c) 2014-2024, Lars Asplund [email protected]
7+
# Copyright (c) 2014-2025, Lars Asplund [email protected]
88

99
from csv import writer
1010
from pathlib import Path

docs/blog/src/vunit_phases/tb_phase_lock.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/blog/src/vunit_phases/tb_phases.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/blog/src/vunit_phases/tb_phases_minimal.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/data_types/src/vunit_events/event_pkg.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/data_types/src/vunit_events/incrementer.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library ieee;
88
use ieee.std_logic_1164.all;

docs/data_types/src/vunit_events/incrementer_pkg.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
package incrementer_pkg is
88
constant increment_reg_addr : natural := 0;

docs/data_types/src/vunit_events/run.py

+1-1
Original file line numberDiff line numberDiff line change
@@ -4,7 +4,7 @@
44
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
55
# You can obtain one at http://mozilla.org/MPL/2.0/.
66
#
7-
# Copyright (c) 2014-2024, Lars Asplund [email protected]
7+
# Copyright (c) 2014-2025, Lars Asplund [email protected]
88

99
from csv import writer
1010
from pathlib import Path

docs/data_types/src/vunit_events/tb_event.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/data_types/src/vunit_events/tb_traditional.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/id/src/dut.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
entity dut is
88
port(

docs/id/src/run.py

+1-1
Original file line numberDiff line numberDiff line change
@@ -4,7 +4,7 @@
44
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
55
# You can obtain one at http://mozilla.org/MPL/2.0/.
66
#
7-
# Copyright (c) 2014-2024, Lars Asplund [email protected]
7+
# Copyright (c) 2014-2025, Lars Asplund [email protected]
88
"""
99
WARNING:
1010

docs/id/src/tb_dut.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/id/src/tb_dut_local_declarations.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/id/src/tb_id.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/id/src/verification_component_x.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/id/src/verification_component_x_with_logger.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/id/src/verification_component_y.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/logging/src/run.py

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
# You can obtain one at http://mozilla.org/MPL/2.0/.
44
#
5-
# Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
# Copyright (c) 2014-2025, Lars Asplund [email protected]
66
"""
77
WARNING:
88

docs/logging/src/tb_logging.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/run/src/run.py

+1-1
Original file line numberDiff line numberDiff line change
@@ -4,7 +4,7 @@
44
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
55
# You can obtain one at http://mozilla.org/MPL/2.0/.
66
#
7-
# Copyright (c) 2014-2024, Lars Asplund [email protected]
7+
# Copyright (c) 2014-2025, Lars Asplund [email protected]
88

99
from pathlib import Path
1010
from vunit import VUnit, VUnitCLI

docs/run/src/tb_fail_on_warning.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/run/src/tb_magic_paths.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
-- start_snippet tb_magic_paths
88
library vunit_lib;

docs/run/src/tb_minimal.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
-- start_snippet tb_minimal
88
library vunit_lib;

docs/run/src/tb_run_all_in_same_sim.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
-- start_snippet tb_run_all_in_same_sim
88
library vunit_lib;

docs/run/src/tb_running_test_case.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/run/src/tb_standalone.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
-- start_snippet tb_standalone
88
library vunit_lib;

docs/run/src/tb_stop_level.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/run/src/tb_stopping_failure.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/run/src/tb_with_lower_level_control.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
-- start_snippet tb_with_lower_level_control
88
library vunit_lib;

docs/run/src/tb_with_test_cases.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/run/src/tb_with_watchdog.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
library vunit_lib;
88
context vunit_lib.vunit_context;

docs/run/src/test_control.vhd

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
-- You can obtain one at http://mozilla.org/MPL/2.0/.
44
--
5-
-- Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
-- Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
-- start_snippet test_control
88
library vunit_lib;

examples/verilog/uart/run.py

+1-1
Original file line numberDiff line numberDiff line change
@@ -4,7 +4,7 @@
44
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
55
# You can obtain one at http://mozilla.org/MPL/2.0/.
66
#
7-
# Copyright (c) 2014-2024, Lars Asplund [email protected]
7+
# Copyright (c) 2014-2025, Lars Asplund [email protected]
88

99
"""
1010
SystemVerilog UART

examples/verilog/uart/src/test/tb_uart_rx.sv

+1-1
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22
// License, v. 2.0. If a copy of the MPL was not distributed with this file,
33
// You can obtain one at http://mozilla.org/MPL/2.0/.
44
//
5-
// Copyright (c) 2014-2024, Lars Asplund [email protected]
5+
// Copyright (c) 2014-2025, Lars Asplund [email protected]
66

77
`include "vunit_defines.svh"
88

0 commit comments

Comments
 (0)