Skip to content

Commit 9a76515

Browse files
author
Vladislav Rykov
committed
immediate generator refinement+tb
1 parent d304da6 commit 9a76515

File tree

2 files changed

+1
-6
lines changed

2 files changed

+1
-6
lines changed

immediate_generator.v

-6
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,6 @@ module immediate_generator #(
22
parameter INSTRSIZE = 32,
33
IMMSIZE = 64
44
) (
5-
input rst,
65
input [INSTRSIZE-1:0] instruction,
76
output reg signed [IMMSIZE-1:0] immediate
87
);
@@ -27,9 +26,4 @@ module immediate_generator #(
2726
endcase
2827
end
2928

30-
always @(negedge rst)
31-
begin
32-
immediate <= 0;
33-
end
34-
3529
endmodule

immediate_generator_tb.v

+1
Original file line numberDiff line numberDiff line change
@@ -41,6 +41,7 @@ module immediate_generator_tb();
4141
begin : monitoring
4242
$display("INSTRUCTION IMMEDIATE");
4343
$monitor("%b %d", instruction, immediate);
44+
$vcdpluson;
4445
end
4546

4647
initial #20 $finish;

0 commit comments

Comments
 (0)