Skip to content
Open
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
11 changes: 7 additions & 4 deletions projects/admx6001_ebz/vcu118/system_constr.xdc
Original file line number Diff line number Diff line change
Expand Up @@ -150,8 +150,9 @@ create_clock -period 2.500 -name dco_clk [get_ports dco_p]
# Constraint SYSREFs
# Assumption is that REFCLK and SYSREF have similar propagation delay,
# and the SYSREF is a source synchronous Center-Aligned signal to REFCLK

set_input_delay -clock [get_clocks global_clk_0] 1.600 [get_ports rx_sysref_*]
set_input_delay -clock [get_clocks global_clk_0] \
[expr [get_property PERIOD [get_clocks global_clk_0]] / 2] \
[get_ports {rx_sysref_*}]

##by default IOB is TRUE and this register is not being driven by any IO element
set_property IOB FALSE [get_cells -hierarchical -regexp {.*hmc7044_spi.*IO0_I_REG$}];
Expand All @@ -163,6 +164,8 @@ set_property IOB FALSE [get_cells -hierarchical -regexp {.*ltc2664_spi.*IO0_I_RE
create_generated_clock -name adl5580_spi_clk -source [get_pins i_system_wrapper/system_i/adl5580_spi/ext_spi_clk] -divide_by 2 [get_pins i_system_wrapper/system_i/adl5580_spi/sck_o]
create_generated_clock -name hmc7044_spi_clk -source [get_pins i_system_wrapper/system_i/hmc7044_spi/ext_spi_clk] -divide_by 2 [get_pins i_system_wrapper/system_i/hmc7044_spi/sck_o]

# Set false path for the AD4080 sync GPIO

set_false_path -through [get_nets i_system_wrapper/gpio_o[56]]

# Set false path from AXI GPIO to AD9213 Data Offload as the GPIO is used only as a switch

set_false_path -from [get_cells -hierarchical -filter {NAME =~ "*axi_gpio/U0/gpio_core_1/Dual.gpio2_Data_Out_reg*"}] -to [get_cells -hierarchical -filter {NAME =~ "*axi_ad9213_do*"}]
2 changes: 0 additions & 2 deletions projects/admx6001_ebz/vcu118/system_project.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -16,8 +16,6 @@ adi_project_files admx6001_ebz_vcu118 [list \
"system_constr.xdc" \
"system_top.v" ]

set_property PROCESSING_ORDER LATE [get_files system_constr.xdc]

## To improve timing in DDR4 MIG
set_property strategy Performance_SpreadSLLs [get_runs impl_1]

Expand Down