Skip to content

Project F brings FPGAs to life with exciting open-source designs you can build on.

License

Notifications You must be signed in to change notification settings

gymmy1/projf-explore

 
 

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Project F - FPGA Development

Project F is a little oasis where you can quench your thirst for FPGA knowledge, where you can find accessible, open-source designs to learn from and build on. Our main projects are the Verilog Library and FPGA Graphics tutorial series; read on to learn more.

Get an introduction with About Project F, follow @WillFlux for updates, and join the FPGA discussion on 1BitSquared Discord. Take a peek into the future with our roadmap.

Image generated by an Artix-7 FPGA using the greetings demo from Ad Astra.

Verilog Library

The Project F Library includes handy Verilog designs for everyone. From framebuffers and video output to division and square root, rom and ram, and even circle drawing. You can freely build on these MIT licensed designs for commercial and non-commercial projects.

See Library for details or discover about the background to the Library.

Graphics

In this series, we explore graphics at the hardware level and get a feel for the power of FPGAs. We’ll learn how displays work, race the beam with Pong, animate starfields and sprites, paint Michelangelo’s David, simulate life with bitmaps, draw lines and shapes, and create smooth animation with double buffering. Along the way, you’ll experience a Smörgåsbord of designs and techniques, from BRAM and finite state machines to crossing clock domains and translating C algorithms into Verilog.

Hello

A three-part introduction to FPGA development with Verilog; currently available for two boards: the Arty A7 and Nexys Video.

The third part will be available for the Nexys Video soon.

Maths

Maths & Algorithms is our next topic. Stay tuned for this series later in 2021.

Requirements

FPGA Architecture

Our designs seek to be vendor-neutral, but some functionality requires support for vendor primitives. We currently support two FPGA architectures:

  • XC7 - Xilinx 7 Series FPGAs, such as Spartan-7 and Artix-7
    • BUFG, MMCME2_BASE
    • HDMI support: OBUFDS, OSERDES2
  • iCE40 - Lattice iCE40 FPGAs, such as iCE40 UltraPlus
    • SB_IO, SB_PLL40_PAD, SB_SPRAM256KA

We also infer block ram (BRAM), see lib/memory.

Porting to other architectures should be straightforward.

SystemVerilog?

We use a few simple features of SystemVerilog to make Verilog more pleasant:

  • logic type is safer and less work than using wire and reg
  • always_comb and always_ff to make intent clear and catch mistakes
  • $clog2 to calculate vector widths (e.g. for addresses)
  • enum to make finite state machines simpler to work with
  • Matching names in module instances: .clk_pix instead of .clk_pix(clk_pix)

I believe these features are helpful, especially for beginners. All the SystemVerilog features used are compatible with recent versions of Verilator, Yosys, and Xilinx Vivado. However, if you need to use an older Verilog standard, you can adapt these designs without too much trouble.

About

Project F brings FPGAs to life with exciting open-source designs you can build on.

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages

  • SystemVerilog 88.9%
  • Tcl 7.7%
  • Shell 1.7%
  • Other 1.7%